6と7のリビジョン間の差分
2011-05-09 16:05:47時点のリビジョン6
サイズ: 1770
編集者: TakuyaKuwahara
コメント:
2011-05-09 16:06:20時点のリビジョン7
サイズ: 1794
編集者: TakuyaKuwahara
コメント:
削除された箇所はこのように表示されます。 追加された箇所はこのように表示されます。
行 30: 行 30:
{{{
行 34: 行 34:
}}}
行 38: 行 38:
{{{
行 42: 行 42:
}}}
行 46: 行 46:
{{{
行 48: 行 48:
}}}
行 52: 行 52:
{{{
行 54: 行 54:
}}}

ハードウェア実験Tips

第3回課題

  • iseでコンパイルが通らない。

配布ファイルがデフォルト設定に合っていない。 top.ucfの1行目、

CONFIG PART=5VLX50TFF1136-1 ;

CONFIG PART=xc5VLX50TFF1136-2 ;

に書き変えるか、プロジェクト設定のSpeedを末尾の数字に合わせる。(頭のxcは無くても通りはするよ)

第4回課題

  • 配布されているfull-Adderのシミュレーションファイルについて。

課題で必須ではないですが、確認のため走らせようとしてもなぜかそのままでは通らないのでちょっと加筆。

配布ファイル3つ(ハーフアダー・フルアダー・シミュレーション用)をダウンロードしてコンパイルしても、 そのままだとフルアダー定義ファイルのポート名とシミュレーション用ファイル中にコンポーネントとして宣言されたフルアダーのポート名との間に整合がとれておらず、おそらくコンパイルが通ってもシミュレートできません。

配布されているシミュレーション用ファイル(4drvfa.vhd)の

 port (IN0,IN1,CIN : in std_logic;

          S,COUT : out std_logic);

の箇所を

port (A,B,CIN : in std_logic;

          S,CO : out std_logic);

に改変し、

port map (A=>IN0,B=>IN1,CIN=>CIN,S=>S,CO=>COUT);

の部分も

port map (A=>A,B=>B,CIN=>CIN,S=>S,CO=>CO);

と変えて、元のフルアダーのポート名と合わせてやるとシミュレーションが通ります。-- TakuyaKuwahara


Categoryノート

ハードウェア実験/Tips (最終更新日時 2011-06-07 07:00:27 更新者 fujima)