4と5のリビジョン間の差分
2011-04-24 20:09:25時点のリビジョン4
サイズ: 511
編集者: Naoaki Iwakiri
コメント:
2011-05-09 16:05:10時点のリビジョン5
サイズ: 1752
編集者: TakuyaKuwahara
コメント:
削除された箇所はこのように表示されます。 追加された箇所はこのように表示されます。
行 20: 行 20:
== 第4回課題 ==
 *配布されているfull-Adderのシミュレーションファイルについて。

課題で必須ではないですが、確認のため走らせようとしてもなぜかそのままでは通らないのでちょっと加筆。

配布ファイルを3つ(ハーフアダー・フルアダー・シミュレーション用)をダウンロードしても、
そのままだとフルアダー定義ファイルのポート名とシミュレーション用ファイル中にコンポーネントとして宣言されたフルアダーのポート名との間に整合がとれておらず、おそらくコンパイルが通ってもシミュレートできません。

配布されているシミュレーション用ファイル(4drvfa.vhd)の


 port (IN0,IN1,CIN : in std_logic;

          S,COUT : out std_logic);


の箇所を


port (A,B,CIN : in std_logic;

          S,CO : out std_logic);


に改変し、


port map (A=>IN0,B=>IN1,CIN=>CIN,S=>S,CO=>COUT);


の部分も


port map (A=>A,B=>B,CIN=>CIN,S=>S,CO=>CO);


と変えて、元のフルアダーのポート名と合わせてやるとシミュレーションが通ります。-- TakuyaKuwahara

ハードウェア実験Tips

第3回課題

  • iseでコンパイルが通らない。

配布ファイルがデフォルト設定に合っていない。 top.ucfの1行目、

CONFIG PART=5VLX50TFF1136-1 ;

CONFIG PART=xc5VLX50TFF1136-2 ;

に書き変えるか、プロジェクト設定のSpeedを末尾の数字に合わせる。(頭のxcは無くても通りはするよ)

第4回課題

  • 配布されているfull-Adderのシミュレーションファイルについて。

課題で必須ではないですが、確認のため走らせようとしてもなぜかそのままでは通らないのでちょっと加筆。

配布ファイルを3つ(ハーフアダー・フルアダー・シミュレーション用)をダウンロードしても、 そのままだとフルアダー定義ファイルのポート名とシミュレーション用ファイル中にコンポーネントとして宣言されたフルアダーのポート名との間に整合がとれておらず、おそらくコンパイルが通ってもシミュレートできません。

配布されているシミュレーション用ファイル(4drvfa.vhd)の

  • port (IN0,IN1,CIN : in std_logic;
    • S,COUT : out std_logic);

の箇所を

port (A,B,CIN : in std_logic;

  • S,CO : out std_logic);

に改変し、

port map (A=>IN0,B=>IN1,CIN=>CIN,S=>S,CO=>COUT);

の部分も

port map (A=>A,B=>B,CIN=>CIN,S=>S,CO=>CO);

と変えて、元のフルアダーのポート名と合わせてやるとシミュレーションが通ります。-- TakuyaKuwahara


Categoryノート

ハードウェア実験/Tips (最終更新日時 2011-06-07 07:00:27 更新者 fujima)