3263
コメント:
|
8148
|
削除された箇所はこのように表示されます。 | 追加された箇所はこのように表示されます。 |
行 106: | 行 106: |
と変えて、元のフルアダーのポート名と合わせてやるとシミュレーションが通ります。-- TakuyaKuwahara |
と変えて、元のフルアダーのポート名と合わせてやるとシミュレーションが通ります。 また、このシミュレーションファイルは1 fsごとにインクリメントする仕様になってますが、いくらなんでも短いのでせめて1 psか1 nsくらいに直した方がいいです。 このシミュレーションファイルを改変してスライスアダーのシミュレーションやろうとしてる人も同様です。-- TakuyaKuwahara 実機で動かすために、前回の課題で配布されたRS232Cの通信用ソースを修正して用います。 具体的には、top.vhdを修正すればよいでしょう。 文字を表示するためにはプロセスrom_inf内でrom_oにasciiコードで表された文字を直接渡します。 配布ソースでは配列rom_tに格納された文字を、rom_addrに指定されたインデックスで表示しています。 自分はsend_msg中でビットスライスアダーへの入力を更新しました。 こんなかんじ。 {{{ if uart_busy='0' and uart_go='0' then ... V := V + 1; input_A <= conv_std_logic_vector(V, 8); ... }}} 論理合成後は前回と同様にunicomで動作確認が可能です。 自分はOCamlで書かれた動作確認用ソースは用いませんでした。(あとであることに気づいた) -- perim ---- == もうmodelsimとかの使い方忘れた人用 == 第4回課題の手順にそって大体の流れを書いときますね。 --(第三回でやったのに既に忘れていた自分のための覚書でもあったりなかったり)-- *'''modelsim''' 1.部品定義ファイル、シミュレーション用ファイル(第四回課題ならそれぞれ8bitスライスアダーの定義ファイルとそれを0~255(1~256)までの全数でチェックするファイル + コンポーネント)などを用意する。 2. modelsim起動 「. ~/bin/use-msim.sh ; . ~/bin/use-ise.sh」を入力してから「vsim &」で起動。 3. プロジェクトを新規に作ってからファイルを全部加えて「Compile All」(右クリックメニュー) →エラーが起こったらやり直し。コンポーネントとしてフルアダーとか使ってるならそのファイルも一緒にコンパイルしないと通らないので注意。 4. 「Library」タブで表示されるメニューから、「work」の中のシミュレーション用ファイルを選択 5.Wave画面を表示して左のウインドウのsimタブ内の中から出力を見たいものを選んでAdd -> To Wave -> All item in region 6.「Run -All」ボタンを押すか下に直にコマンド入力で「run 100ns -all」とかでシミュレーション開始。ボタンで開始した場合は適当に隣のStopボタンで止める。 7.動いたらおめでとうございます。 *'''ise''' 1. さっきのファイルに加え、top.vhdとか、FPGAとの送受信に必要なファイルを全て用意する。 2. 適当に新しいプロジェクトを生成。 3.「Hierarchy」ウインドウ(左上の)の中で右クリック→Add Sourceでファイルをすべてプロジェクトに突っ込む。 スライスアダーとかのファイルもいる……と思う(未確認) 4.「xc5vlx……」をクリック → Design Utilities → Compile HDL Simulation そのままコンパイルしても多分通らない。すぐ左にある「Edit Process Property」ボタンをクリック 5.General Optionタブで右の方にスクロールしてくと 「Please Specify」って項目あるんで「Modelsim SE VHDL」を選択。 6.「Compile HDL Simulation」を右クリック&「Run」 7.待つ。 8.コンパイルが通ったら第一段階クリア 9.できたら今度は「Hierarchy」ウインドウで今度はtopを選択&「Generate Programming File」をダブルクリック。 通ったらクリア。 *'''impact''' 1.FPGAを繋ぐ 2.適当にプロジェクト作成&FPGAが認識されているか確認。 (一個ダメになってるコードがあるっぽいです。--(ってか多分俺が何かやらかした)--) 3.top.bitファイルがiseによって生成されているはずなので、それを選択&緑色のチップ(?)みたいなのを右クリックして「Program」 で実行。(ここら辺の細かい手順忘れました……備忘録なのに……) 4.minicomやらで出力を見る。詳しくは第三回の課題ページ。 OCamlでかかれたプログラムが課題ページにありますが、使ってないので謎。 以上。だいたい合ってるはずですが(現在進行形で確かめながら書いてますので)細かいところで間違ってるかもです。 でも大まかな流れは上の通りなはずです。-- TakuyaKuwahara |
ハードウェア実験Tips
第3回課題
- iseでコンパイルが通らない。
配布ファイルがデフォルト設定に合っていない。 top.ucfの1行目、
CONFIG PART=5VLX50TFF1136-1 ;
を
CONFIG PART=xc5VLX50TFF1136-2 ;
に書き変えるか、プロジェクト設定のSpeedを末尾の数字に合わせる。(頭のxcは無くても通りはするよ)
第4回課題
- 配布されているフルアダーの部品定義ファイルについて。
4fulladr.vhd中の
type IX is range 4 to 7; subtype I16 is INTEGER range 0 to 15; type J16 is range 0 to 15; type BIT_STREAM is array(I16 range<>) of BIT; type MEMORY_COMMAND is (NOP, READ, WRITE); type DECODE_TABLE is array(I16) of BIT; type std_logic_vector2 is array(NATURAL range <>, NATURAL range <>) of std_logic; signal TST : DECODE_TABLE; signal MC :MEMORY_COMMAND; signal BS : std_logic_vector(15 downto 0); signal BS2 : std_logic_vector2(7 downto 0, 3 downto 0); signal message : string(1 to 5) := "HELLO"; signal OCTET : BIT_VECTOR(7 downto 0); constant OCTET2 : BIT_VECTOR := ('0', '1', '0', '1', '0', '1', '0', '1'); signal address_buffer : std_logic_vector(63 downto 0); type MEMORY_TRANSACTION is record comm : MEMORY_COMMAND; address : std_logic_vector(63 downto 0); data : std_logic_vector(63 downto 0); end record; signal MT : MEMORY_TRANSACTION;
の箇所と、STRUCTURE中の
MC <= NOP; MT.comm <= READ; MT.data <= (others => '0'); MT.address <= address_buffer;
の部分はフルアダーと全く関係ないです。(つまり、この部分まるまる削除しても普通にシミュレーションが通る)
ここを削除するとコードがかなり読みやすくなるのでオススメ。-- TakuyaKuwahara
- 配布されているフルアダーのシミュレーションファイルについて。
課題で必須ではないですが、確認のため走らせようとしてもなぜかそのままでは通らないのでちょっと加筆。
配布ファイル3つ(ハーフアダー・フルアダー・シミュレーション用)をダウンロードしてコンパイルしても、 そのままだとフルアダー定義ファイルのポート名とシミュレーション用ファイル中にコンポーネントとして宣言されたフルアダーのポート名との間に整合がとれておらず、おそらくコンパイルが通ってもシミュレートできません。
配布されているシミュレーション用ファイル(4drvfa.vhd)の
port (IN0,IN1,CIN : in std_logic; S,COUT : out std_logic);
の箇所を
port (A,B,CIN : in std_logic; S,CO : out std_logic);
に改変し、
port map (A=>IN0,B=>IN1,CIN=>CIN,S=>S,CO=>COUT);
の部分も
port map (A=>A,B=>B,CIN=>CIN,S=>S,CO=>CO);
と変えて、元のフルアダーのポート名と合わせてやるとシミュレーションが通ります。
また、このシミュレーションファイルは1 fsごとにインクリメントする仕様になってますが、いくらなんでも短いのでせめて1 psか1 nsくらいに直した方がいいです。 このシミュレーションファイルを改変してスライスアダーのシミュレーションやろうとしてる人も同様です。-- TakuyaKuwahara
実機で動かすために、前回の課題で配布されたRS232Cの通信用ソースを修正して用います。 具体的には、top.vhdを修正すればよいでしょう。
文字を表示するためにはプロセスrom_inf内でrom_oにasciiコードで表された文字を直接渡します。 配布ソースでは配列rom_tに格納された文字を、rom_addrに指定されたインデックスで表示しています。
自分はsend_msg中でビットスライスアダーへの入力を更新しました。 こんなかんじ。
if uart_busy='0' and uart_go='0' then ... V := V + 1; input_A <= conv_std_logic_vector(V, 8); ...
論理合成後は前回と同様にunicomで動作確認が可能です。 自分はOCamlで書かれた動作確認用ソースは用いませんでした。(あとであることに気づいた) -- perim
もうmodelsimとかの使い方忘れた人用
第4回課題の手順にそって大体の流れを書いときますね。
第三回でやったのに既に忘れていた自分のための覚書でもあったりなかったり
modelsim
1.部品定義ファイル、シミュレーション用ファイル(第四回課題ならそれぞれ8bitスライスアダーの定義ファイルとそれを0~255(1~256)までの全数でチェックするファイル + コンポーネント)などを用意する。
2. modelsim起動 「. ~/bin/use-msim.sh ; . ~/bin/use-ise.sh」を入力してから「vsim &」で起動。
3. プロジェクトを新規に作ってからファイルを全部加えて「Compile All」(右クリックメニュー)
→エラーが起こったらやり直し。コンポーネントとしてフルアダーとか使ってるならそのファイルも一緒にコンパイルしないと通らないので注意。
4. 「Library」タブで表示されるメニューから、「work」の中のシミュレーション用ファイルを選択
5.Wave画面を表示して左のウインドウのsimタブ内の中から出力を見たいものを選んでAdd -> To Wave -> All item in region
6.「Run -All」ボタンを押すか下に直にコマンド入力で「run 100ns -all」とかでシミュレーション開始。ボタンで開始した場合は適当に隣のStopボタンで止める。
7.動いたらおめでとうございます。
ise
1. さっきのファイルに加え、top.vhdとか、FPGAとの送受信に必要なファイルを全て用意する。
2. 適当に新しいプロジェクトを生成。
3.「Hierarchy」ウインドウ(左上の)の中で右クリック→Add Sourceでファイルをすべてプロジェクトに突っ込む。
スライスアダーとかのファイルもいる……と思う(未確認)
4.「xc5vlx……」をクリック → Design Utilities → Compile HDL Simulation
そのままコンパイルしても多分通らない。すぐ左にある「Edit Process Property」ボタンをクリック
5.General Optionタブで右の方にスクロールしてくと
「Please Specify」って項目あるんで「Modelsim SE VHDL」を選択。
6.「Compile HDL Simulation」を右クリック&「Run」
7.待つ。
8.コンパイルが通ったら第一段階クリア
9.できたら今度は「Hierarchy」ウインドウで今度はtopを選択&「Generate Programming File」をダブルクリック。 通ったらクリア。
impact
1.FPGAを繋ぐ
2.適当にプロジェクト作成&FPGAが認識されているか確認。 (一個ダメになってるコードがあるっぽいです。ってか多分俺が何かやらかした)
3.top.bitファイルがiseによって生成されているはずなので、それを選択&緑色のチップ(?)みたいなのを右クリックして「Program」 で実行。(ここら辺の細かい手順忘れました……備忘録なのに……)
4.minicomやらで出力を見る。詳しくは第三回の課題ページ。
OCamlでかかれたプログラムが課題ページにありますが、使ってないので謎。
以上。だいたい合ってるはずですが(現在進行形で確かめながら書いてますので)細かいところで間違ってるかもです。
でも大まかな流れは上の通りなはずです。-- TakuyaKuwahara